DRAMSys: Tool zur Optimierung von Speichersystemen durch Simulationsanalysen

DRAMSys4.0 ist ein schnelles und flexibles Open-Source Simulations-Framework für Dynamic Random Access Memory (DRAM), das auf dem SystemC TLM-2.0 Standard basiert. Das Framework wurde an der Technischen Universität Kaiserslautern (Lehrstuhl Entwurf Mikroelektronischer Systeme) und am Fraunhofer IESE entwickelt. Ziel ist es, die Herausforderungen heutiger Speichersysteme in Bezug auf Anwendungen, Leistungsaufnahme, Temperaturverteilung, Retention-Fehler und verschiedene DRAM-Architekturen zu bewältigen.

DRAMSys besteht aus Modellen, welche die DRAM-Funktionalität, -Leistung und -Temperatur widerspiegeln. Mit diesen Modellen können Systementwickler die begrenzenden Parameter und Probleme im Hinblick auf die aktuellen DRAM-Standards in ihrem Systemkontext analysieren.

Das Framework bietet ein benutzerfreundliches Trace-Analyzer-Tool für tiefgreifende Analysen und Erkenntnisgewinne. Mit diesen Erkenntnissen ist der Entwickler in der Lage, das DRAM-Subsystem in Bezug auf die Controller-Architektur, das Leistungs- und Temperatur-Management sowie die Bausteinauswahl und die Kanalkonfiguration für eine bestimmte Anwendung zu optimieren.

 

© Fraunhofer IESE
DRAMSys Screenshot Trace Analyzer - Tool von Fraunhofer IESE
Der DRAMSys Trace Analyzer hilft, das Verhalten einer Applikation in Bezug auf die DRAM-Zugriffe zu untersuchen. Dazu gehören zum Beispiel Bank-Parallelität sowie Kommando- und Datenbusauslastung.
DRAMSys Screenshot Trace Analyzer Metrics  - Tool von Fraunhofer IESE
© Fraunhofer IESE
Der Trace Analyzer bietet ein Python Interface, mit dem basierend auf den Simulationsdaten verschiedene Metriken berechnet oder visualisiert werden können. Dazu gehören etwa Metriken wie die durchschnittliche Zugriffslatenz, Bandbreite oder Zugriffe pro Activate.
DRAMSys Screenshot Temperaturanalyse, Fraunhofer IESE
© Fraunhofer IESE
Mit DRAMSys ist es auch möglich, thermische Analysen mithlfe der Open-Source-Tools DRAMPower und 3D-ICE durchzuführen. Hier ist ein Beispiel für ein Smartphone mit Wide I/O DRAM zu sehen, bei dem DRAMSys verwendet wurde, um verschiedene Refresh-Strategien zu untersuchen.

Die Funktionen von DRAMSys4.0 auf einen Blick

  • TLM2.0-AT-konform
  • Unterstützung für DDR3, DDR4, DDR5, LPDDR4, LPDDR5 Wide I/O 1/2, GDDR5, GDDR5X GDDR6, HBM2, and HBM3
  • Scheduling Policies (FIFO, FR-FCFS und FR-FCFS mit read/write grouping)
  • Page Policies (open, closed, open adaptive und closed adaptive)
  • Refresh-Strategien (all-bank refresh, per-bank refresh, pulled-in, postpone)
  • Power-Down-Strategien (PDNA, PDNP, SREF, …)
  • Schätzung der Leistungsaufnahme
  • Thermische Simulation
  • Trace Analyzer für die visuelle Ergebnisanalyse

Mit Simulation ersetzt DRAMSys Vermutungen durch Fakten

DRAMSys hilft Ihnen, den Entwurfsraum des DRAM-Subsystems zu untersuchen, um instinktives Handeln durch simulationsgestützte Fakten zu ersetzen.

Die Anwendungsfälle für den Simulator sind vielfältig:

  • Welche DRAM-Konfiguration oder welcher DRAM-Standard passt am besten zu meinem System?
  • Wie werden neue Standards wie DDR5 oder LPDDR5 das Verhalten meines Systems verändern? Bringen sie einen neuen Nutzen für mein System?
  •  Wie sollte ich den Speicher-Controller konfigurieren, um maximale Leistung oder minimalen Energieverbrauch zu errreichen?
  • Wie kann ich meine Systemanwendung im Hinblick auf das verwendete DRAM-Subsystem optimieren?
 

Einführender Vortrag zu DRAMSys

in Englisch

Datenschutz und Datenverarbeitung

Wir setzen zum Einbinden von Videos den Anbieter YouTube ein. Wie die meisten Websites verwendet YouTube Cookies, um Informationen über die Besucher ihrer Internetseite zu sammeln. Wenn Sie das Video starten, könnte dies Datenverarbeitungsvorgänge auslösen. Darauf haben wir keinen Einfluss. Weitere Informationen über Datenschutz bei YouTube finden Sie in deren Datenschutzerklärung unter: https://policies.google.com/privacy

Autoren: Lukas Steiner, Matthias Jung, Fe­lipe Salerno Prado, Kir­ill Bykov und Nor­bert Wehn

Lukas Steiner erklärt auf der SAMOS 2020 Konferenz die Grundlagen zu DRAMSys. Dabei geht er auf die spezielle TLM2.0 Technolgie ein und erklärt die Vorteile von DRAMSys im Vergleich zu herkömmlichen Simulatoren.

Weitere Infos rund um DRAM und DRAMSys

Software

Open-source Version

Die Open-Source-Version von DRAMSys wird auf github gehostet. Das Repository wird regelmäßig mit neuen Funktionen aktualisiert.

Video

Temperaturanalyse

Temperaturmessung eines Smartphones mit Wide I/O DRAM und Nutzung von DRAMSys, um verschiedene Refresh-Strategien zu untersuchen.

Forschungsprojekt

MEMTONOMY

Optimierung von Arbeitsspeicher für Fahrerassistenzsysteme und autonomes Fahren. Fraunhofer will Forschungslücke mit Beteiligung von Bosch und TU Kaiserslautern schließen.

ATZ elektronik 11|2020

Moderne Speicherarchitekturen für leistungsfähige Infotainmentsysteme und autonomes Fahren

Halbleiterspeicher wie DRAMs oder Flash sind ein oft wenig beachteter Aspekt, der aber in zukünftigen Fahrzeugarchitekturen zum limitierenden Faktor wird, wenn in der Konzeption wichtige Aspekte vernachlässigt werden. Das Fraunhofer IESE, die TU Kaiserslautern und Mercedes-Benz Research & Development zeigen in einem Artikel im ATZelektronik Magazin , worauf dabei zu achten ist.

 

HiPEAC Tech Transfer Awards 2020

Winners of

Matthias Jung (Fraunhofer IESE), Lukas Steiner and Norbert Wehn (TUK) DRAMSys4.0: A flexible DRAM subsystem design space exploration framework

Publikationen

  • DRAMSys4.0: A Fast and Cycle-Accurate SystemC/TLM-Based DRAM Simulator
    L. Steiner, M. Jung, F. S. Prado, K. Bykov, and N. Wehn Springer LNCS International Conference on Embedded Computer Systems Architectures Modeling and Simulation (SAMOS), July, 2020, Samos Island, Greece.
    Zur Publikation

  • TLM Modelling of 3D Stacked Wide I/O DRAM Subsystems, A Virtual Platform for Memory Controller Design Space Exploration
    M. Jung, C. Weis, N. Wehn, K. Chandrasekar. International Conference on High-Performance and Embedded Architectures and Compilers 2013 (HiPEAC), Workshop on: Rapid Simulation and Performance Evaluation: Methods and Tools (RAPIDO), January, 2013, Berlin.

  • DRAMSys: A flexible DRAM Subsystem Design Space Exploration Framework
    M. Jung, C. Weis, N. Wehn. IPSJ Transactions on System LSI Design Methodology (T-SLDM), October, 2015.
  • Exploration of DDR5 with the Open Source Simulator DRAMSys
    L. Steiner, M. Jung and N. Wehn IEEE/VDE 24. Workshop „Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen” (MBMV 2021), March. 18-19, 2021, Munich.
  • DRAMSys4.0: An Open-Source Simulation Framework for In-Depth DRAM Analyses
    L. Steiner, M. Jung, F. S. Prado, K. Bykov, N. Wehn Springer, International Journal of Parallel Programming (IJPP), 2022.